OpenPOWER Microwatt

维基百科,自由的百科全书
OpenPOWER Microwatt
產品化2019-08-29[1]
設計團隊IBM, OpenPOWER Foundation
指令集架構Power ISA 3.0
ppc64le
ppc64be
核心数量1
應用平台Soft core

Microwatt 是一款以简洁和可理解性作为设计重点的开源软核处理器,由 Anton Blanchard 在 IBMVHDL 编写而成,初于 OpenPOWER Summit NA 2019 上亮相[2] ,并于 2019 年 8 月在 GitHub 上发布。此核心支持 Power ISA 3.0 指令集,并可在 FPGA 上合成。软件方面,此核心可启动主线 LinuxMicroPythonZephyr[3] [4] [5] [6] [7] [8]

Microwatt 的设计和开发工作使用 VHDL 2008 和 GHDL 仿真环境进行,其 GitHub 项目主页上有关于常见工作流的文档,其中包括了在 Xilinx FPGA 上使用 Vivado 工具链合成并测试 Microwatt 核心的指南。[9]

设计

Microwatt 是一款微型 64 位双端标量整数处理器核心,实现了 Power ISA 3.0 指令集的子集,并使用 Wishbone 作为内存接口。其具有 32 个 64 位通用寄存器和 32 个 64 位浮点寄存器[4]

使 Microwatt 在最低限度上兼容该指令集的初始开发工作只用了几个月,最初的设计中不包含内存管理单元(MMU)和浮点单元,但包括了指令集中的整个整数处理部分 [4]。此后,更多功能被加入了 Microwatt 之中,包括 JTAG 调试器接口、除法器指令、16 KB 指令和 32 KB 数据缓存支持以及 MMU(不支持虚拟机管理程序)、流水线[4]浮点支持。 [10]

Chiselwatt

另一个实现 Power ISA 3.0 指令集的开放处理器核心 Chiselwatt 是 Microwatt 的兄弟项目,区别在于它是用基于 ScalaChisel 设计语言而不是 VHDL 编写的。 [11] [12]

实现和用例

  • Microwatt 本身
  • Libre-SOC: 一个正在开发中的开放硬件 SoC 系统,使用 Microwatt 作为参考设计 [13]
  • Kestrel:被 Raptor Engineering 用于旗下 POWER9 工作站的 BMC [14] [15]

历史

Microwatt 是第一个实现开放 Power ISA 3.0 指令集的新处理器,由 OpenPOWER 基金会作为参考设计发布。该项目开始时的目标是作为 Power ISA 3.0 开源指令集计划的演示、概念验证和参考实现,[16]而作者 Anton Blanchard 的目标则是看看自己作为一名软件开发人员,能否完成具有挑战性的底层硬件设计工作。 [2] [3]

Microwatt 核心计划于 2021 年通过 Efabless 的 「Open MPW Shuttle Program」计划以 130 nm 工艺流片,然而截止 2024 年 2 月,关于此项目的 Efabless 主页没有新的信息或是进度公示。[17][18]

另见

参考

  1. ^ Williams, Chris. Get your royalty-free soft-core OpenPOWER processor core blueprints here. Extra, extra – read all about it. The Register. 2019-08-29 [2024-02-16]. (原始内容存档于2024-03-07) (英语). 
  2. ^ 2.0 2.1 OpenPOWER Summit NA 2019: Day 2 Keynote Demonstration: Anton Blanchard, IBM & Joe DeLaere, Xilinx. [2024-02-16]. (原始内容存档于2024-02-16). 
  3. ^ 3.0 3.1 "POWER OpenISA and Microwatt introduction" - Anton Blanchard (LCA 2020). [2024-02-16]. (原始内容存档于2024-02-16). 
  4. ^ 4.0 4.1 4.2 4.3 "Microwatt Microarchitecture" - Paul Mackerras (LCA 2020). [2024-02-16]. (原始内容存档于2024-02-16). 
  5. ^ Microwatt and the POWER ISA support in Renode. [2024-02-16]. (原始内容存档于2024-02-16). 
  6. ^ Linux on Microwatt. [2024-02-16]. (原始内容存档于2024-02-16). 
  7. ^ OpenPOWER opens further. [2024-02-16]. (原始内容存档于2024-02-16). 
  8. ^ Day 2 keynote and OpenPOWER blows the doors off: Royalty-free, open soft-core (RISC-V sweating gallons). [2024-02-16]. (原始内容存档于2024-02-16). 
  9. ^ Blanchard, Anton, antonblanchard/microwatt, 2024-02-12 [2024-02-15], (原始内容存档于2024-02-16) 
  10. ^ Microwatt Floats. [2024-02-16]. (原始内容存档于2024-02-16). 
  11. ^ Chiselwatt's page on Github. [2024-02-16]. (原始内容存档于2024-02-16). 
  12. ^ Final Draft of the Power ISA EULA Released. [2024-02-16]. (原始内容存档于2024-05-24). 
  13. ^ Libre-SOC. [2024-02-16]. (原始内容存档于2024-05-20). 
  14. ^ Raptor Announces Kestrel Open-Source, Open HDL/Firmware Soft BMC. [2024-02-16]. (原始内容存档于2022-01-19). 
  15. ^ Kestrel SoftBMC Project. [2024-02-16]. (原始内容存档于2024-03-13). 
  16. ^ Big Blue open sources POWER chip instruction set. [2024-02-16]. (原始内容存档于2024-03-18). 
  17. ^ Efabless. Efabless. [2024-02-16]. (原始内容存档于2024-02-16) (英语). 
  18. ^ Open MPW metadata for Microwatt. [2021-03-30]. (原始内容存档于2021-02-28). 

外部链接